[Boards: 3 / a / aco / adv / an / asp / b / biz / c / cgl / ck / cm / co / d / diy / e / fa / fit / g / gd / gif / h / hc / his / hm / hr / i / ic / int / jp / k / lgbt / lit / m / mlp / mu / n / news / o / out / p / po / pol / qa / r / r9k / s / s4s / sci / soc / sp / t / tg / toy / trash / trv / tv / u / v / vg / vp / vr / w / wg / wsg / wsr / x / y ] [Home]
4chanarchives logo
is this JKFF? ----------------------------------- -----------------------------------
Images are sometimes not shown due to bandwidth/network limitations. Refreshing the page usually helps.

You are currently reading a thread in /g/ - Technology

Thread replies: 7
Thread images: 1
File: 44chan.png (308 KB, 1920x1080) Image search: [Google]
44chan.png
308 KB, 1920x1080
is this JKFF?
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:58:38 03/20/2016
-- Design Name:
-- Module Name: JKFlipFlopModule - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity JKFlipFlopModule is
Port ( J : in STD_LOGIC;
K : in STD_LOGIC;
C : in STD_LOGIC;
Q : inout STD_LOGIC;
Qn : inout STD_LOGIC);
end JKFlipFlopModule;

architecture Behavioral of JKFlipFlopModule is

begin

Q <= ((Qn nor K) and (J and C));
Qn <=((Q nor J) and (K and C));

end Behavioral;
>>
>>53609435
>is this J-K FF
Yes
The fuck is wrong with you, dude? Follow the design, it even says in the figure.
>>
Just learned what this does in my electronics class
>>
>>53610187
Install gentoo.
>>
>>53609987
facepalm
i made it you moron, want to know if i did it right
>>
>>53609435
My name is Arthur :)
>>
>>53609435
>is this JK

J=0
K=0
Qn_next=0
Q_next=0

Yeah, no

https://en.wikipedia.org/wiki/Flip-flop_(electronics)#JK_flip-flop
Thread replies: 7
Thread images: 1

banner
banner
[Boards: 3 / a / aco / adv / an / asp / b / biz / c / cgl / ck / cm / co / d / diy / e / fa / fit / g / gd / gif / h / hc / his / hm / hr / i / ic / int / jp / k / lgbt / lit / m / mlp / mu / n / news / o / out / p / po / pol / qa / r / r9k / s / s4s / sci / soc / sp / t / tg / toy / trash / trv / tv / u / v / vg / vp / vr / w / wg / wsg / wsr / x / y] [Home]

All trademarks and copyrights on this page are owned by their respective parties. Images uploaded are the responsibility of the Poster. Comments are owned by the Poster.
If a post contains personal/copyrighted/illegal content you can contact me at [email protected] with that post and thread number and it will be removed as soon as possible.
DMCA Content Takedown via dmca.com
All images are hosted on imgur.com, send takedown notices to them.
This is a 4chan archive - all of the content originated from them. If you need IP information for a Poster - you need to contact them. This website shows only archived content.